library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package sim_switcher_pkg is component i2c_slave is generic ( SLAVE_ADDR : std_logic_vector(6 downto 0) ); port ( scl : inout std_logic; sda : inout std_logic; clk : in std_logic; rst : in std_logic; -- User interface read_req : out std_logic; data_to_master : in std_logic_vector(7 downto 0); data_valid : out std_logic; data_from_master : out std_logic_vector(7 downto 0) ); end component i2c_slave; end package sim_switcher_pkg;