Working for all 4 operators SIM 1-to-1 switcher
This commit is contained in:
parent
b06870f628
commit
79718bd2f6
|
|
@ -63,7 +63,7 @@ architecture rtl of sim_switcher_top is
|
|||
);
|
||||
end component;
|
||||
|
||||
constant FLASHES : natural := 4; -- Number of LED flashes on boot
|
||||
constant FLASHES : natural := 1; -- Number of LED flashes on boot
|
||||
constant CPU_RST_DURATION : natural := 100_000_000; -- 25_000_000 = 1sec
|
||||
constant PON_RST_DURATION : natural := 10; -- 25_000_000 = 1sec
|
||||
constant PON_CPU_DELAY_DURATION : natural := 75_000_000; -- 25_000_000 = 1sec
|
||||
|
|
@ -204,6 +204,9 @@ begin
|
|||
s_mod_data_i(i) <= mod_data_io(i);
|
||||
mod_data_io(i) <= '0' when s_mod_data_o(i) = '0' else 'Z';
|
||||
|
||||
sim_rst_o(i) <= mod_rst_i(i);
|
||||
sim_clk_o(i) <= mod_clk_i(i);
|
||||
|
||||
-- Debounce data lines
|
||||
mod_dat_i_debounce : debounce
|
||||
generic map (
|
||||
|
|
@ -228,8 +231,6 @@ begin
|
|||
|
||||
-- Route one-way signals from mod to SIM
|
||||
sim_pwron_o <= (others => '1');--mod_pwron_i;
|
||||
sim_rst_o <= mod_rst_i;
|
||||
sim_clk_o <= mod_clk_i;
|
||||
mod_detect_o(3 downto 0) <= sim_detect_i(3 downto 0);
|
||||
|
||||
------------------
|
||||
|
|
|
|||
Loading…
Reference in New Issue