Removed commented code and unused module declaration

This commit is contained in:
matt 2020-08-04 17:45:00 +07:00
parent 7439984c8c
commit 58bb42cd13
2 changed files with 34 additions and 90 deletions

View File

@ -60,30 +60,4 @@ package sim_switcher_pkg is
);
end component i2c_slave;
component i2c_repeater is
generic (
WAIT_CYCLES : integer := 3
);
port (
clk : in std_logic;
rstn : in std_logic;
pr_scl : inout std_logic;
pr_sda : inout std_logic;
sec_scl : inout std_logic;
sec_sda : inout std_logic
);
end component i2c_repeater;
component odio_repeater is
generic (
WAIT_CYCLES : integer := 3
);
port (
clk : in std_logic;
rstn : in std_logic;
signal_n1 : inout std_logic;
signal_n2 : inout std_logic
);
end component odio_repeater;
end package sim_switcher_pkg;

View File

@ -272,6 +272,15 @@ begin
-- Drive FAN control signals
fan_o <= reg_fan_ctl;
-- POE PD init
reg_poepd_status(2) <= poe_in_vpres;
reg_poepd_status(1 downto 0) <= poe_in_t2p(1 downto 0);
poe_in_enn <= reg_poepd_ctl;
----------------------
-- Working with PSE --
----------------------
-- PSE init
pse_rst <= reg_pse_ctl(0);
pse_vpwr_enn <= reg_pse_ctl(1);
@ -311,9 +320,8 @@ begin
7 => x"14" -- R/W
);
------------------------------
-- PSE read trigger process --
------------------------------
-- PSE read trigger process
pse_readtrig_proc: process(clk25_i, s_rstn_i, wait_i2c_start, i2c_core_busy, pse_i2c_write_reg) is
variable wait_cnt : natural := 0;
begin
@ -465,45 +473,9 @@ begin
end if;
end process pse_i2c_proc;
-- pse_scl_rptr: odio_repeater
-- generic map (
-- WAIT_CYCLES => REPI2C_DEBOUNCING_WAIT_CYCLES
-- )
-- port map (
-- clk => clk25_i,
-- rstn => s_rstn_i,
-- signal_n1 => i2c_scl_io,
-- signal_n2 => pse_i2c_scl_io
-- );
-- pse_sda_rptr: odio_repeater
-- generic map (
-- WAIT_CYCLES => REPI2C_DEBOUNCING_WAIT_CYCLES
-- )
-- port map (
-- clk => clk25_i,
-- rstn => s_rstn_i,
-- signal_n1 => i2c_sda_io,
-- signal_n2 => pse_i2c_sda_io
-- );
-- pse_i2c_rptr: i2c_repeater
-- generic map (
-- WAIT_CYCLES => REPI2C_DEBOUNCING_WAIT_CYCLES
-- )
-- port map (
-- clk => clk25_i,
-- rstn => s_rstn_i,
-- pr_scl => i2c_scl_io,
-- pr_sda => i2c_sda_io,
-- sec_scl => pse_i2c_scl_io,
-- sec_sda => pse_i2c_sda_io
-- );
-- POE PD init
reg_poepd_status(2) <= poe_in_vpres;
reg_poepd_status(1 downto 0) <= poe_in_t2p(1 downto 0);
poe_in_enn <= reg_poepd_ctl;
------------------------------------
-- Working woth expansion card IO --
------------------------------------
-- Expansion card IO control
gen_expio_dbnc: for i in 0 to 5 generate
@ -536,8 +508,6 @@ begin
signal_out => sdb_pse_intn
);
----------------------------------------------
--------------------------
-- Interrupt generation --
--------------------------